CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - signal light

搜索资源列表

  1. digitalclock

    0下载:
  2. 1、正常情况下在LED数码管上显示时钟和温度。显示格式为:hh.mm tto,其中hh为2位小时数,mm为2位分钟数,中间的.每隔1秒交替亮暗,tt为2位温度,温度范围为-50oC-+50oC,“o”为温度单位摄氏度。 2、假设选用的温度传感器已将温度-50oC-+50oC变换为0-5V电压信号,请完成温度值的采集并进行标量转换,再显示在LED数码管上。 3、应采取数字滤波技术提高温度测量稳定性(如均值滤波)。 4、若闹钟设置的时间到,则闹铃(可以驱动指示灯亮代替)。 5、通过开
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:239697
    • 提供者:许宏
  1. Digitalclocksignal

    0下载:
  2. 数字时钟信号用vhdl语言描述的源代码他光放利用到各个电路中-Vhdl digital clock signal with the source code language to describe his use of light to release all circuits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5390
    • 提供者:qing
  1. udmx_1_2.tar

    0下载:
  2. usb接口的dmx信号发送器的硬件程序,使用atmega8,没有别的芯片,通用usb接口来控制有dmx接口的智能灯-usb interface dmx signal transmitter hardware, the use of atmega8, no other chips, common interface usb interface control dmx intelligent light
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:321957
    • 提供者:renxupeng
  1. dingshi

    1下载:
  2. 两个定时实验,1.具体要求:采用定时器T0的溢出中断,单片机P1.0接发光二极管LED0。T0的每一次中断,在中断处理程序中都会对LED0的状态做一次改变(P1.0输出信号进行反转)。 2.具体要求:定时器T1每0.05秒中断一次,单片机P1口接8个发光二极管LED0~LED7,编写程序,使发光二极管有规律地循环点亮。例如:开机后,第一秒钟LED0、LED2亮,第二秒钟LED1、LED3亮,第三秒钟LED4、LED6亮,第四秒钟LED5、LED7亮,第五秒钟LED0、LED2、LED4、LE
  3. 所属分类:SCM

    • 发布日期:2014-04-21
    • 文件大小:78976
    • 提供者:scarly
  1. baojing

    0下载:
  2. 间断声光报警信号试验 报警时有滴滴滴的声音提示以及发光提示-Intermittent sound and light warning alarm signal when the pilot' s voice prompts DDD, as well as LED prompt
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:8569
    • 提供者:姬芳
  1. DS18B20temperaturesensor

    0下载:
  2. DS18B20 温度传感器读数据源程序 系统由中央控制单片机、温度检测器、时钟系统及显示器部分组成。控制器采用单片机AT89C51,温度检测部分采用DS18B20温度传感器,时钟系统用LED作为显示器。 单片机对数据处理后显示时间;温度传感器DS18B20采集温度信号送该给单片机处理,再把时间数据和温度数据送七段LED显示器显示,该系统还具有限温控制,当温度高于或低于某一给定温度值时分别有红灯和绿灯点亮提示,并伴随着蜂鸣器声音提示。本系统同时具有调节高低温上下限,时间调节功能-DS18B20
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:26941
    • 提供者:long
  1. 8luADlcdds1302

    0下载:
  2. 1) 8路数据采集功能 通过调节可变电阻实现0-5V的电压输出作为8路输入信号使用,每路信号用2位LED显示采集的结果。报警:任意一路超过某一门限(可自己设定)时,发出报警(声音+灯闪烁,并通过灯指示是哪一路报警),同时停止采集。 2) 计数功能 利用计数功能键,实现每按一次按键,LED显示加1,从0-99计数。 3) 秒表功能 只用一个键控制。按下一个按键后时钟启动,从零开始计时,计时间隔0.01秒,再按一次后停止。再按一次后清零。如此循环。 -3 functio
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:105721
    • 提供者:孙国栋
  1. dfdfdfr

    0下载:
  2. 本系统由单片机系统、键盘、LED 显示、交通灯演示系统组成。系统包括人行道、左转、右转、以及基本的交通灯的功能。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。 关键词:AT89S51,交通规则 -The system consists of single-chip microcomputer system, keyboard, LED display, traffic light presentation syst
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:73878
    • 提供者:wangqiang
  1. 4.4jianpanjishu

    0下载:
  2. 原理图如下,row1~row4为列信号,line1~line4为行信号,采集按键信号时,先将行信号全置为0,然后读取列信号,判断列信号是否有0信号,若有0信号,则表示有按键按下,再根据行与列的状态判断是哪个按键按下,并用发光二极管以16进制形式指示。-The following schematic, row1 ~ row4 for the out signal, line1 ~ line4 for line signals, signal acquisition button, the firs
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:95704
    • 提供者:lzhf
  1. pcm

    1下载:
  2.   在光纤通信系统中,光纤中传输的是二进制光脉冲"0"码和"1"码,它由二进制数字信号对光源进行通断调制而产生。而数字信号是对连续变化的模拟信号进行抽样、量化和编码产生的,称为PCM(pulse code modulation),即脉冲编码调制。这种电的数字信号称为数字基带信号,由PCM电端机产生。-In optical fiber communication systems, fiber-optic transmission of light pulses is a binary "
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:856
    • 提供者:圈石
  1. JTD

    0下载:
  2. 51单片机交通灯控制程序 51单片机交通灯控制程序-51 MCU traffic lights traffic signal control program 51 SCM 51 SCM traffic light control program Control Program
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7603
    • 提供者:蔡树钟
  1. KEY

    0下载:
  2. 本实验是DSP中键盘断响应,读键值并将键值显示到LED灯上。本实验采用中断键盘和I/O键盘,当有键按下时给DSP一个中断信号,DSP通过此I/O读键值,在读取键值后由LED亮灭显示出来。-DSP in this experiment is off to respond to the keyboard, reading keys and display to the LED lights on the key. The present study, interruption of the keyb
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:54153
    • 提供者:牟晓春
  1. pic12c508

    0下载:
  2. PIC12C508单片机控制灯光源程序以上程序使用PIC12C508单片机,内部4MHZ时钟,4脚输入50HZ脉冲信号,7,6,3脚(GP0,GP1,GP4端口)为输出口。其中渐变部分是让灯慢慢的变亮(或灭)。-PIC12C508 MCU control over light source uses PIC12C508 microcontroller, the internal 4MHZ clock, four feet 50HZ input pulse signal, 7,6,3-pin (G
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:981
    • 提供者:ciwei
  1. 00038yimaqi

    0下载:
  2. 本设计利用拨动开关和发光二极管进行信号输入和显示。 本设计练习用VHDL语言描述仿真译码器。 -This design toggle switch and light-emitting diodes used for signal input and display. The design exercise simulation using VHDL language to describe the decoder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5604
    • 提供者:fengxinlong
  1. 12864-1

    0下载:
  2. CM12864-1 S parameter material.Its widely used in electrical appliances, auto, light industry, tour landscape outdoor lighting, LED lighting, civil ordinary lighting, LED traffic signal lights, MP4, mobile phones, instrumentation, tax declaration app
  3. 所属分类:GPS develop

    • 发布日期:2017-04-07
    • 文件大小:4858
    • 提供者:CELINE
  1. Led_Ctr_09.11.10_V0.1

    0下载:
  2. LED调光源,应用于相机的LED灯照明控制.将复合视频信号中的同步信号采集出来并用于单片机中断的输入-LED tune light source, camera, LED lights used in lighting control. The composite video signal out of synchronization signal acquisition and for the MCU interrupt input
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:21810
    • 提供者:songjun
  1. qiangdaqi

    0下载:
  2. 所设计的抢答器允许四人参加。在出题后,红色发光二极管点亮,同时显示器显示秒信号,A、B、C、D四人按动按钮以决定先后次序,计时到60s之后即依次显示四个人的名次,若超过60s未按按钮者不计名次。在红灯亮前按下按钮者也不计名次。-The Responder is designed to allow four people to participate. In a later question, the red light-emitting diodes lit at the same time d
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:935
    • 提供者:王强
  1. bjdjkz

    0下载:
  2. 由单片机P2口输出的脉冲信号来模拟4相线圈产生的电流,来控制步进电机,用4个LED亮灭的快慢来模拟电机的速度,用它们的亮灭顺序来模拟电机的相序和拍数。-P2 port output from the MCU to simulate the four-phase pulse signal generated by the coil current to control the stepper motor, with 4 LED light blinking speed to simulate th
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:4746
    • 提供者:赖珍明
  1. SimulinkLED1v4

    0下载:
  2. Simulink的发光二极管 Used to display status of signal.用来显示信号状态。 -Simulink light-emitting diodes Used to display status of signal. To display the signal status.
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:20187
    • 提供者:lyuhu
  1. MicrocontrollerBasedElectronicCodeLockDesign

    0下载:
  2. 设计以电磁继电器模拟密码锁,由单片机8051来控制锁的打开与闭合,由HD7279来管理器键盘及显示,密码从键盘输入,用8051来控制7279显示当前锁的状态信息及报警。本次设计用单片机开发系统来模拟密码锁的多种功能,密码由4-6位数字组成,当输入密码相符时开锁,输入三次不符报警,同时密码输入正确时可以修改密码,此时指示灯亮。开锁单片机开发出开关量,通过光电耦合器控制,打开密码锁。报警则由单片机发出报警信号,控制音频放大电路发出报警声音。-Designed to simulate electrom
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:299928
    • 提供者:xiaoshao
« 1 2 3 4 5 6 78 9 10 11 12 ... 34 »
搜珍网 www.dssz.com